計畫成員

王木俊 教授

王木俊

Email

mucwang@must.edu.tw

電話

03-5593142#3143

職掌

電子工程系教授


年份 計畫類型 標題
2021 校內專題計劃 在不同氮化製程下奈米HK pMOSFET之閘極介電質均勻性分析研究
2020 校內專題計劃 AI技術應用於傳產製鞋業價值提升
2019 校內專題計劃 奈米MOSFET與FinFET閘極介電層之恢復性研究
2019 民間企業產學計畫案(私人企業或法人機構之案件) AOI-AI雲端檢驗系統開發-智慧鞋業
2018 校內專題計劃 SOI晶片上之n型奈米鰭式電晶體其GCIP模型特性研究
2017 校內專題計劃 奈米鰭式電晶體閘極介電物質成長後之漏電流品質驗證
2016 校內專題計劃 n型鰭式電晶體之爾利效應與閘極電壓並溫度效應相依性之研究
2014 校內專題計劃 28奈米氧化鉿/氧化鋯/氧化鉿閘極介電物質使用去耦合氮化電漿製程後之元件熱載子可靠性研究
2013 校內專題計劃 藉由TCAD模擬軟體輔助以探究奈米等級接觸蝕刻停止層應力於應變矽電晶體之通道電場分佈
2012 校內專題計劃 45 奈米等級矽應變與矽鍺通道元件之電特性與可靠度研究
2012 民間企業產學計畫案(私人企業或法人機構之案件) 功率積體電路之研究及電路分析
2011 校內專題計劃 N型多晶矽薄膜電晶體在綠光雷射退火與活化後之C-V特性與可靠性研究
2010 民間企業產學計畫案(私人企業或法人機構之案件) RFID中低雜訊放大器之最佳化佈局研究
年份 標題
2023 A Model for the Frequency Dispersion of p-type Si/Ga2O3 Capacitance and Conductance in Accumulation
2023 Voltage Gain of 28nm-node Various Channel-width pMOSFETs under Thermal Annealing Treatments of DPN Processes
2023 Gain Performance of Nano-node Channel-width nMOSFETs Treated by DPN Processes with Various Nitrogen Concentrations
2023 Gain Efficiency of 28 nm-node Various Channel-width pMOSFETs under Nitrogen Concentration of DPN Treatment
2023 Amplification Gain of Nano-node Channel-width nMOSFETs with Thermal Annealing Treatments of DPN Processes
2023 Dit Extraction of Nano-node HK/MG nMOSFETs Treated with DPN Process under Diverse Nitrogen Concentration
2023 Degradation Study of RhB with Ag3PO4-Na2SiO3 under Visible Light
2023 Substrate Bias Effect of 28 nm-node HK/MG nMOSFETs with DPN Temperature Treatments
2023 Back-bias Effect of Nano-node HK/MG nMOSFETs under Different Nitrogen Concentration of DPN Process Treatment
2023 C-V Characteristics of Nano-node HK/MG nMOSFETs with LDD and SDE implantation
2023 Study of Enlarged Field Enhancement Factor for Carbon Nanotubes on Millimeter Scaled Platform
2023 不同氮濃度DPN製程處理下28 nm節點I/O HK/MG nMOSFETs之基底偏壓效應
2023 28 nm節點I/O HK/MG nMOSFETs在不同溫度DPN製程處理下之基底偏壓效應
2023 基底偏壓效應探究28 nm節點I/O HK/MG nMOSFETs在不同退火溫度和氮濃度DPN製程處理下之電特性
2022 奈米製程之DIBL效應量測技術探討
2022 奈米等級nMOSFETs與nFinFETs之DIBL效應比較與探討
2022 奈米nMOSFET中閘極電場對通道等效遷移率之影響
2022 Reduction Study of MB with Ag3PO4/Na2SiO3
2022 Electrical Characteristics of 28nm-node Small p-channel MOSFETs with High-k/Metal Gate Processes
2022 Electrical Performance of 28nm-node Long/Short Channel-width nMOSFETs under DPN Nitridation Treatment
2022 λ-factor of Nano-node HK/MG nMOSFETs with DPN Different Annealing Temperatures
2022 奈米HK/MG nMOSFET元件在不同氮化退火溫度與高/低汲極電場下之通道調變效應
2021 Anneal Effect of Bi2MoO6/SnOx:N to the Degradation of RhB under Visible Light Irradiation
2021 以轉導觀點探究3D nMESFET與 III-V 3D nMOSFET之優劣
2021 奈米SOI n-型鰭式電晶體在閘極電場偏壓下之爾利效應修正
2021 Channel Surface Integrity with 2.4nm High-k Gate Dielectric under DPN Treatment at Different Annealing Temperatures
2021 28奈米HK/MG製程在不同氮化退火溫度下I/O nMOSFET閘極氧化層品質均勻性分析
2020 Integrity of N-type Channel Surface for Nano-node High-k Gate Dielectric
2020 ON/OFF Current of Nano-node Field-Effect Transistors on p-substrate or SOI Substrate
2020 Junction Integrity for 28nm High-k nMOSFETs with Thermal Stress
2020 Q-factor Investigating Integrity of 28nm-node High-k Gate Dielectric
2020 Test Patterns Exposing Integrity of 28nm-node High-k Gate Dielectric on p-substrate with Nitridation Treatments
2020 Integrity of Fringe Gate Leakage for 28nm HK/MG nMOSFETs with Nitridation Treatments
2020 Leakage of High-k Gate Dielectric of nMOSFETs with DPN Treatment under Various Nitrogen Concentrations
2020 Effect of Bismuth Content in Precursor on the Structural and Optical Properties of Bismuth Molybdenum Oxide Film Prepared by Spray Pyrolysis
2020 Electrical Characterization of Si/ZnO:Er,Yb Diode on NH4F/AgNO3 Aqueous Solution Processed Si Substrate
2020 Silane-free procedure for SiO2 layer formation at room temperature
2019 Nano-node n-type Gate Dielectric Integrity and Uniformity Correlated to Nitridation Process
2019 Uniformity of Gate Dielectric for Core HK/MG pMOSFET with Nitridation Treatments
2019 Gate Dielectric Distribution of I/O HK/MG pMOSFET with Nitridation Treatments
2019 Electrical Study of Er and N codoped Zinc Oxide Diode
2019 Study of State Energies in InAs/GaSb Superlattice with InSb Interlayer
2019 Photoluminescence Study of Er doped Zinc Oxide Prepared by Spray Pyrolysis with Zinc Formate Precursor
2019 Punch-through and DIBL Effects Exposing Nano-node SOI FinFETs under Heat Stress
2019 Comparison of Degradation and Recovery of SiONx and Hf-based Dielectric under Electrical-field Stress
2018 Conductivity Study of Er doped Zinc Oxide by Spray Pyrolysis with Zinc Formate Precursor
2018 Thickness Study of Er-doped Magnesium Zinc Oxide Diode
2018 Abnormal Characteristics of Drive Current for n-type FinFETs under Normal Operation Field
2018 DIBL Effect for Nano-node p-type FinFETs under Thermal Stress
2018 Punch-through Effect for Nano-node n-type FinFETs under Thermal Stress and Vt Implant Energy
2018 Anneal effect of Er doped zinc oxide by spray pyrolysis
2018 Observation of Degradation and Recovery of Stacked HfOx/ZrOy/HfOx MOSFETs
2018 Off-state Current Behaviors of 28nm-node nMOSFETs under Negative Gate Bias
2018 The μeq Fitting for Mixed Current Model of MOSFETs Considering Horizontal Electric Field
2018 Electrical Characteristics of WO3/Ag/WO3 Sandwich Structure Fabricated with Magnetic-control Sputtering Metrology
2018 Drive Current Behaviors of Multi N-channel FinFETs under Different VT Implant Energies
2018 GIDL Effect Observed in FinFET Shapes and VT Implant Energy
2018 Off-state Drain Current Characteristics of p-type Multi-channel FinFETs Impacted with Different Vt Implantation Energy
2018 A Prototype of Mini-wireless Remote Monitoring Control System Applied to Delicate Agriculture
2017 DIBL Effect Gauging the Integrity of Nano-node n-channel FinFETs
2017 VT Implant Energy Impacting DIBL and Punch‐through Effects of Nano‐node n‐channel FinFETs on SOI Wafers
2017 Decoupled Tunneling and GIDL Effects for 28nm High‐k Stacked nMOSFETs
2017 Deposition Temperature Study of Nitrogen‐doped Zinc Oxide by Spray Pyrolysis
2017 Electrical Stress Probing Recovery Efficiency of 28nm HK/MG nMOSFETs under Different Nitrogen Concentration in Nitridation
2017 Voltage Stress Exposing Degradation Rate of 28nm HK/MG nMOSFETs under Different Nitridation Annealing Temperatures
2017 Isolation Integrity of Drain/Gate Contact Exposed with Source/Drain Extension Length for SOI p‐channel FinFETs
2017 I‐V Model of Nano nMOSFETs Incorporating Drift and Diffusion Current
2017 The DIBL Effect of SOI p‐channel FinFETs under Various SDE Lengths
2017 GIDL效應驗證微影偏移於奈米SOI n通道FinFETs
2017 長源/汲極延伸長度與不同VT離子佈植能量下在SOI n通道FinFETs中之DIBL與次臨界擺幅效應
2017 不同源/汲極延伸長度在SOI n通道FinFETs中之DIBL與貫穿效應
2017 n型奈米鰭式電晶體在汲極加壓後之元件劣化探討
2017 n型奈米鰭式電晶體閘極電流密度分佈探討
2017 溫度調變下不同p通道鰭式電晶體之DIBL變化
2017 n通道鰭式電晶體在溫度不同之汲極引起的能障下降變化
2017 Degradation and Recovery of HfZrO2 Dielectric under Voltage Stress
2017 I-V Model for Nano-MOSFETs by Considering Diffusion Current
2017 Corner Gate Leakage of n-channel FinFETs under Heating Effect
2017 Resistance Study of Er doped Zinc Oxide Diode by Spray Pyrolysis
2017 Photocatalytic Study of Calcium Zinc Oxide with Different Calcium Content
2017 Plasma Implant Causing DIBL Variation in p-channel FinFETs with Single or Multi-fin Shape on SOI Wafer
2017 VT Ion Implant Inducing DIBL Variance in n‐channel FinFETs on SOI Substrate
2016 不同n型鰭式電晶體之爾利電壓變化與多根鰭之相依性
2016 不同通道之爾利效應在p型鰭式場效應電晶體
2016 調變微影曝光能量參數對n型奈米鰭式電晶體之電性特性研究
2016 通道寬度調變對奈米多通道n型鰭式電晶體之電特性探究
2016 氮氣流量對非晶氮化鉭應用於擴散阻絕層與擴散係數之影響研究
2016 Photocatalytic Study of Zinc Oxide with Different Bismuth Doping
2016 Dark current reduction of n-ZnO/p-Si diode with Boron doped interlayer
2016 Thermal Stress Exposing Surface Channel‐length Effect of Nano n-type FinFETs
2016 Substrate Current Characteristics for 28 nm HK/MG NMOSFETs under HC Stresses
2016 Hot‐Carrier Induced Degradation and Its Recovery in HK/MG NMOSFETs
2016 Feasible Programming Methods for 28nm‐node nMOSFETs
2016 CLM Effect of Nano p‐channel FinFETs Depending on VT Implant Energies
2016 Effective Surface Channel‐length Effect of Nano‐scale n‐channel FinFETs Integrated with VT Doping Energies
2016 Middle Gate Bias Exposing CLM Effect of Nano n‐channel FinFETs
2016 Heat Stress Impacting Early Effect of Nano p‐channel FinFETs at High Gate Field
2016 The Program Mechanism with CHEI/DAHC on Nano HK/MG CMOS Logic Process
2016 Nitrogen Flow Rate Relating Diffusion Behaviors of Copper in TaN Layers
2016 Performance of TaN as Diffusion Barrier Layer under N2 Flow‐rate Control
2016 A New Model Explaining the Saturation Current of Nano‐MOSFETs
2016 Early Effect of Nano p‐channel FinFETs Biased at Middle Gate Field
2016 Comparison of Nano-node n-channel FinFETs and 28nm HK/MG nMOSFETs
2016 Gate Leakage for Nano-node nMOSFETs and n-channel FinFETs
2015 Photocatalytic Study of Silver and Bismuth Codoped Zinc Oxide by Spray Pyrolysis
2015 Electrical Performance of Dense and Isolated n-type FinFETs in Micro-loading Effect
2015 Heating Stress Probing Electrical Performance of Multiple N-channel FinFETs with VT Doping Energies
2015 Electrical Characteristics of Multi-gate P-channel FinFETs with VT Implanting Energies under Temperature Stress
2015 CIP Metrology Improving the Bump Yield in Photo-lithography Process
2015 Reducing the Rework in the Photo-lithography Process of Wafer-bump Assembly with Quality Management
2015 Conductivity Study of Magnesium Zinc Oxide with Indium and Nitrogen co-doping by Spray Pyrolysis
2015 Visible Light Photocatalytic Study of Zinc Oxide Diode by Spray Pyrolysis
2015 Recovery of Hot-carrier Induced Degradation in HK/MG PMOSFETs Treated by Different Nitridation Conditions
2015 Simulation to Expose and Control the RSCE Effect for 28nm HK/MG nMOSFETs
2015 GCIP Characteristics of High-k Stack NMOSFETs
2015 The GCIP effect with High Drain-Bias Stress in 28 nm HK/MG nMOSFETs
2015 Multiple Sweeping Drain-Bias Stress in 28 nm HK/MG nMOSFETs
2015 Early Effect for n-type FinFETs with Single-fin or Multi-fin Contour
2015 A Derivative Metrology to Justify the Punch-Through Effect for n-type FinFETs
2015 Fringe-Gate Leakage Mechanisms under Various Source/Drain Extension Spacing for p-type FinFETs
2015 Electrical Characteristics of p-type FinFETs with Different Source/Drain Extension Spacing
2014 CLM Effect for 28nm Stacked HK NMOSFETs after DPN Treatment with Different Annealing Temperatures
2014 GIDL and Gated-Diode Metrologies for28nm HK/MG nMOSFETs in Nitridation Annealing Temperatures
2014 Electrical Quality of 28nm HK/MGMOSFETs with PDA and DPN Treatment
2014 Comparison of Gate Leakage for SiONx and HfZrOx Gate Dielectrics of MOSFETs with Decoupled Plasma Nitridation Process
2014 Early Effect Exposing Performance of 28nm HK/MG pMOSFETs under PDA or DPN Nitridation Treatment
2014 Relationship between Stress Distribution and Hot‐Carrier Effect for Strained nMOSFETs
2014 Discussion of different Nitrogen Concentrations and Annealing Temperatures on GIDL Current Characteristics of High-k Stack PMOSFETs
2014 The Gate Leakage of 28 nm MOSFETs by Different Processes of DPN Treatments
2014 Drain Field Exposing Hump Effect for 28nm HK/MG nMOSFETs under Plasma Nitridation Treatments
2014 Characteristics and Kink Effect under Temperature Stress for 28nm HK/MG nMOSFETs after Plasma Nitridation Treatments
2014 Electrical Performance of n-channel FinFETs with Threshold-voltage Doping Energies under Heating Stress
2014 Temperature Stress Probing Performance of p-channel FinFETs under Different VT Implanting Energies
2014 Photo Matrix Technology Overcoming the Constraint of Nano-node FinFETs
2014 Photocatalytic Study of Bismuth Doped Zinc Oxide Prepared by Spray Pyrolysis:The effect of Annealing
2013 Next Promising P-type FinFET Devices without or with Cobalt-Silicide Applied to the Gate
2013 Impact of Stress Induced by Stressors on Hot Carrier Reliability of Strained nMOSFETs
2013 Characteristics and Hot-Carrier Effects of Strained pMOSFETs with SiGe Channel and Embedded SiGe Source/Drain Stressor
2013 The Improvement of MOSFET Electric Characteristics through Strain Engineering by Refilled SiGe as Source and Drain
2013 The Enhancement of MOSFET Electric Performance through Strain Engineering by Refilled SiGe as Source and Drain
2013 Promising N-type FinFET Devices without or with Cobalt-Silicide Applied to the Gate
2013 The Side Effects on N-type FinFET Devices
2013 The Adjustment of Threshold Voltage on P-type FinFET Devices
2013 The Side Effects and the Effects of Thickness of Source/Drain Fin on P-type FinFET Devices
2013 Body Effect of SiGe and CESL Strained Nano-node NMOSFETs on (100) Silicon Substrate
2013 Probing Moving Charge Distribution of Biaxial and CESL Strained PMOSFETs with Body Effect
2013 High Quality of 0.18um CMOS 5.2GHz Cascode LNA for RFID Tag Applications
2013 Si-Capping Thicknesses Impacting Compressive Strained MOSFETs with Temperature Effect
2013 Electrical Performance of a-Si:H and Poly-Si TFTs with Heating Stress
2013 Strained pMOSFETs with SiGe Channel and Embedded SiGe Source/Drain Stressor under Heating and Hot-Carrier Stresses
2013 Trend of Subthreshold Swing with DPN Process for 28nm N/PMOSFETs
2013 Channel-Length Modulation Effect for 28nm HK/MG PMOSFETs after Post Deposition Annealing Treatment
2013 VT Adjustment for 28nm HfOx/ZrOx/HfOx Gate Dielectric of nMOSFET using DPN Process with Annealing Temperatures
2013 奈米製程CESL壓縮應變與不同矽覆蓋層於pMOSFET之特性與熱載子效應分析
2013 Electrical Performance for 28nm HK/MG PMOSFETs by PDA or DPN Treatment with N2 Concentrations
2013 Punch-Through Characteristics of High-k/Metal Gate NMOSFETs before and after PDA Treatment
2013 Device Characterization for Stacked High-k/Metal Gate of NMOSFETs before and after PDA Process
2013 Gate Leakage Characteristics for 28nm Gate-Last HK/MG NMOSFETs with PDA Process Treatment
2013 Performance Study for 28nm High-k/Metal Gate of PMOSFETs with Gate-Last Process before and after PDA Treatment
2013 Early Effect for 28nm HZH Gate-Stacked NMOSFETs after Post Deposition Annealing Process Treatment
2013 Study of Gate Leakage for 28nm HfZrOx Gate Dielectric of PMOSFETs after Post Deposition Annealing Process
2013 CLM Effect for 28nm Stacked HK/MG NMOSFETs after DPN Process with Different Nitrogen Concentration
2013 Gate Leakage Effect for 28nm HK/MG NMOSFETs after DPN Treatment with Different Annealing Temperatures
2013 Gate Leakage for 28nm High-k/Metal Gate NMOSFETs after DPN Treatment with Different Nitrogen Concentration
2013 Kink Effect for 28nm HK/MG nMOSFETs after DPN Treatment with Different Annealing Temperatures
2013 On the Degradation of Negative Bias Temperature Instability in a-Si:H TFTs
2013 Junction and Punch-Through Leakage Mechanisms for 28nm High-k/ Metal Gate of PMOSFETs after PDA Process Treatment
2013 The Influence of Nitrogen Concentrations and Annealing Temperatures on HfO2 nMOSFET Properties and PBTI Reliability
2013 Electrical Characteristics and Hot-Carrier Effect of Stacked HK/MG nMOSFETs under DPN Treatment plus Annealing Temperatures
2013 Gate Leakage for 28nm HfZrOx Gate Dielectric of PMOSFETs after Decoupled Plasma Nitridation Process with Annealing Temperatures
2013 Early Effect for 28nm HfOx/ZrOy/HfOx Gate Dielectric of NMOSFETs after DPN Process with Different Nitrogen Concentration
2013 Performance of Deep-nano Gate-last HK/MG nMOSFETs using DPN or PDA Process with Annealing Temperatures under Temperature Stress
2013 Study of Gate Leakage Characteristics for 28nm HfZrOx PMOSFETs after DPN Process Treatment with Different Nitrogen Concentration
2013 利用週期性介電質波導設計之環形共振分波器
2012 A Study of Characteristics of Halogen-Free Prevented Solder Materials
2012 Promising Low Noise Amplifiers Using 90nm CMOSFET Devices
2012 Threshold Voltages of MOSFET Devices Using 3-D FinFET Structure
2012 Determination of Threshold Voltages of PMOSFET Devices using FinFET Structure
2012 Threshold Voltages of NMOSFET Devices using FinFET Structure
2012 Predicting Breakdown Characteristics of Nano-scaled HfO2 Gate Dielectric by Ramping Metrology
2012 Fin-Thickness Effects on the Electric Performances of PMOSFET Devices Using FinFET Structure
2012 Fin-Thickness Effects on n-Channel FinFET Devices with Cobalt Silicide as Gate
2012 Strain Effects on Nano-NMOSFET Devices Following Refilled Source/ Drain Silicon Technology
2012 Fin-Thickness Effects on p-Channel FinFET Devices with Cobalt Silicide as Gate
2012 Strain Effects on Nano-NMOSFET Devices with Refilled S/D SiGe Process Technology
2012 Strain Effects on Nano-PMOSFET Devices Fabricated with Refilled S/D SiGe Technology
2012 Fin-Thickness Effects on p-Channel FinFET Devices
2012 Temperature Effects on Drain Fringe Junction Capacitances of Strained pMOSFET Devices
2012 Electrical Characteristics of Amorphous and Poly-Crystalline Thin-Film Transistors with Temperature Effect
2012 Nano-regime Si-Capping Thicknesses Impacting Strained pMOSFET on <110> Silicon Substrate
2012 Phenomenon of nMOSFETs with CESL stressor for different channel lengths
2012 Comparison of NMOSFET and PMOSFET devices that combine CESL
2012 0.18微米製程2.4GHz高輸出增益與低雜訊指數疊接式低雜訊放大器整合於RFID晶片
2012 0.18微米製程5.2/5.8GHz高增益與絕佳隔離之疊接式低雜訊放大器應用於射頻鑑別系統
2012 <100>矽基片奈米p型電晶體在單軸CESL應變下之汲極接面電位研究
2012 <100>矽基片奈米製程MOS電晶體在源/汲極回填矽壓縮應變下之汲極接面電位研究
2012 探討奈米製程CESL壓縮應變於<100>矽基片上p型電晶體之汲極接面電位
2012 CESL壓/拉應變對奈米等級<100>不同通道長度nMOSFETs之汲極接面電位研究
2012 重填矽源/汲極應變和CESL壓縮應變對奈米等級<100> nMOSFET之汲極接面電位研究
2012 奈米製程在單軸CESL拉伸應變於<100>晶圓表面上nMOSFET之汲極接面電位研究
2012 <110>矽基片45奈米電晶體在CESL應變與矽鍺回填源/汲極製程下之接面效能研究
2012 射頻鑑別系統中2.4GHz高增益/高隔離度串接式低雜訊放大器
2011 Distinguishing Junction Breakdown and Punch-through Characteristics for Uniaxial CESL Strained Nano-regime N/PMOSFETs on <100> Silicon Substrate
2011 Promising Reliability of Refilled S/D Strained N/PMOSFET Devices Fabricated on <100> Substrate Linked to Junction Breakdown, and Punch-Through
2011 Distinguishing Reliability of CESL Strained N/PMOSFET Devices Fabricated on <110> Substrate Correlated with Junction Breakdown, and Punch-Through
2011 Distinguishing Characteristics of Refilled S/D Strained NMOSFET Devices Fabricated on <110> Substrate Associated with Junction Breakdown, and Punch-Through
2011 Promising Characteristics of CESL Strained PMOSFET Devices Fabricated on <100> Substrate Correlated with Junction Breakdown, and Punch-Through
2011 Distinguishing Repeatability of CESL Strained NMOSFET Devices Fabricated on <100> Substrate Associated with Junction Breakdown, and Punch-Through
2011 Characteristics of SiGe Channel and Embedded SiGe S/D Strained PMOSFETs
2011 Characteristics of the Hot-Carrier Effect on Strained nMOSFETs with Tensile and Compressive CESL Stressors
2011 IMC Integrity for Sn96.7?Ag3.7 Polymer Core Solder Ball in BGA Package
2011 Drop Test for Sn96.7?Ag3.7 Polymer Core Solder Ball in BGA Package
2011 Reflow Influence for Sn96.7?Ag3.7 Polymer Core Solder Ball in BGA Package
2011 Solder Stability for Pb?free HBGA Assembly with Oxygenous Reflow
2011 Nickel Solder Ball Performance for Pb?free LFBGA Assembly under Oxygenous Reflow
2011 Oxygenous Reflow Affecting Performance of Pb?free TFBGA Assembly
2011 SOP Package Surface Discoloration after PCT Test
2011 3.5 GHz to 10.0 GHz Mixers of High Gain and Good Isolations
2011 Embedded SiGe Source/Drain and Temperature Degrading Junction Performance on <110> 45 nm MOSFETs
2011 Deterioration of Junction Performance with Temperature Effect for 45 nm Si-Capping MOSFETs on <110> Silicon Substrate
2011 Nano-Scale Si-Capping Thicknesses Impacting Junction Performance on <110> Silicon Substrate
2011 Study of Temperature Effects of Mobility, Swing, and Early Voltages on Strained MOSFET Devices
2011 Current Conduction Mechanisms of 0.65 nm Equivalent Oxide Thickness HfZrLaO Thin Films
2011 Time Dependent Dielectric Breakdown (TDDB) Characteristics of Metal-Oxide-Semiconductor Capacitors with HfLaO and HfZrLaO Ultra-Thin Gate Dielectrics
2011 Promoted Electrical Performance and Temperature Effects of Strained Short-Channel Transistors
2011 6.0-10.1 GHz High-Gain Mixer
2011 12GHz~ 18GHz High Gain Low Noise Amplifier
2011 Variation of Channel Resistance for Nano-regime MOSFETs under Different Si Capping Thickness Depositing CESL Inducing Compressive Strain on <110> Si Wafer
2011 Compressive/ Tensile Strained CESL Impacting Channel Resistance for Nano-regime <100> nMOSFETs
2011 Channel Resistance for Nano-regime Biaxial Strained MOSFETs on <110> Silicon Substrate
2011 A Study of Channel Resistance and Temperature Effect for <110> pMOSFET with Embedded SiGe Source/Drain Technology
2011 Junction Leakage Performance with Temperature Effect for <110> 45 nm pMOSFETs Applied with Si-Capping and Refilled Source/Drain Process Technology
2011 Variation of Channel Resistance for Nano-regime pMOSFETs under Refilled S/D SiGe Strain and Different Si Capping Thicknesses on <110> Si Wafer
2011 Resistor Characteristics of Uniaxial CESL Strained Nano-regime nMOSFETs on <100> Silicon Wafer
2011 Junction Leakage Efficiency for Nano-regime nMOSFETs between Si Capping Layers on <110> Wafer and Conventional <100> Wafer
2011 Nano-regime pMOSFET Channel Resistance with Non-strained <100> and Strained <110> Wafers
2011 A Study to Channel Resistance for <100> Strained PMOSFETs with Different Si Capping Layers
2010 Miniaturization of Cascode Low-Noise Amplifier with 0.18um CMOS Process for 2.4GHz RFID Applications
2010 Minimizing Size of Cascade Low-Noise Amplifier with 0.18um CMOS Process for 2.4GHz RFID Applications
2010 Optimization of First-Stage cascode Low-Noise Amplifier with 0.18um CMOS Process for 2.4GHz RFID Applications
2010 Contrivance and Proof of Ladder-Like Antenna for 2.46GHz RFID Applications
2010 Design and Proof of Spiral-Like Antenna for 2.45GHz RFID Tag Applications
2010 Clamp-Like Planar Antenna for 2.45GHz RFID Tag Applications
2010 Mobility Enhancement on Nano-strained NMOSFET with Epitaxial Silicon Buffer Layers
2010 CESL Deposition Promoting n/p MOSFETs under 45-nm-node Process Fabrication
2010 ELFR Experiment Test Verifying Anomaly of Nano-DRAM Products in W-Plug Process
2010 Study of Nano-regime Strained MOSFETs with Temperature Effect
2010 C-V Analysis and Degradation of HC Stress near Vt Bias for CLC Poly-Si n-TFTs with Laser Annealing Powers
2010 Temperature Dependence of Carrier Mobility Variation in Nanoscale Strained (110) MOSFETs
2010 Characteristics of Uni-axial Strained Nano-scale nMOSFETs with CESL Process on <100> Silicon Substrate
2010 A Study on N/P-Type Short / Long Channel Strained Devices on <100> Substrate with Various Thicknesses of Si-Cap Layer at Different Testing Temperatures
2010 Performance of Nanoscale Strained PMOSFET Devices Measured at Different Temperatures
2010 N/PMOSFET Characteristics Fabricated on <100> Silicon Substrate Using Strained Technology at Different temperatures
2010 Characterization of Strained MOSFETs with Tensile and Compressive CESL Stressors
2010 Degradation Mechanism for CLC Poly-Si n-TFTs under Low Vertical-Field HC Stress with Different Laser Annealing Powers
2010 Promising 5.0-16.0 GHz CMOS-Based Oscillators With Tuned LC Tank
年份 標題
2011 新世代積體電路製程技術
2008 薄膜電晶體液晶顯示器原理與實務
年份 標題
2012 先進微影製程
2012 IC Measurement and Reliability Analysis
年份 標題
2023 Enhancing the Tunable Sensitivity of a Near-Ultraviolet to Visible to Near-Infrared Photo Irradiance Sensor Using an Indium Tin Oxide-Aluminum Oxide-Zirconia Aluminum Oxide-Silicon
2022 Channel Mobility Model of Nano-Node MOSFETs Incorporating Drain-and-Gate Electric Fields
2022 Visible-light Photocatalytic Study of SnOx:N Islands on Bi2MoO6
2022 Low-Frequency Vibration Sensor with Dual Fiber Fabry-Perot Interferometer Using a Low-Coherence LED
2022 Electrical Performance of 28 nm-Node Varying Channel-Width nMOSFETs under DPN Process Treatments
2021 High-drain Field Impacting Channel-length Modulation Effect for Nano-node n-channel FinFETs
2021 Study of N-doping in (Bi2MoO6, MoO3)/SnOx:N Photocatlyst in the Degradation of RhB Using Visible Light
2020 On the Nitrogen Doping in Erbium and Nitrogen codoped Magnesium Zinc
2020 Uniformity of Gate Dielectric for I/O and Core HK/MG pMOSFETs with Nitridation Treatments
2020 Hot Carrier Stress Sensing Bulk Current for 28-nm Stacked High-k nMOSFETs
2020 Q-factor Performance of 28 nm-node High-k Gate Dielectric under DPN Treatment at Different Annealing Temperatures
2019 Electrical Characteristics of n-type FinFETs under VT Ion Implantation on SOI Substrate
2018 Thickness Study of Er-Doped Magnesium Zinc Oxide Diode by Spray Pyrolysis
2018 Thermal Stress Probing the Channel‐length Modulation Effect of Nano N-type FinFETs
2018 Electrical Stress Probing Recovery Efficiency of 28nm HK/MG nMOSFETs using Decoupled Plasma Nitridation Treatment
2018 Resistance Study of Er-doped Zinc Oxide Diode by Spray Pyrolysis
2018 I-V Model of Nano nMOSFETs Incorporating Drift and Diffusion Current
2018 Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs
2018 Carrier Concentration of Calcium Zinc Oxide with Different Calcium Contents Deposited through Spray Pyrolysis
2018 Electrical and physical characteristics of WO3/Ag/WO3 sandwich structure fabricated with magnetic-control sputtering metrology
2017 Performance Characteristics of p-channel FinFETs with Varied Si-fin Extension Lengths for Source and Drain Contacts
2016 DPN Treatment plus Annealing Temperatures for 28nm HK/MG nMOSFETs with CHC Stress
2016 The Integrity of 28nm HK/MG nMOSFETs Probed with Drain Bias Stress
2016 A high aspect ratio silicon-fin FinFET fabricated upon SOI wafer
2015 Kink effect for 28 nm n-channel field-effect transistors after decoupled plasma nitridation treatment with annealing temperatures
2015 Comparison of electrical characteristics for SiONx and HfZrOx gate dielectrics of MOSFETs with decoupled plasma nitridation treatment
2015 Conductivity Study of Nitrogen-Doped Magnesium Zinc Oxide Prepared by Spray Pyrolysis
2015 Heat Stress Exposing Performance of Deep-nano HK/MG nMOSFETs using DPN or PDA Treatment
2015 Leakage Current Mechanism and Effect of Y2O3 Doped with Zr High-K Gate Dielectrics
2014 Impact of Stress Induced by Stressors on Hot Carrier Reliability of Strained nMOSFETs
2014 Characteristics and hot-carrier effects of strained pMOSFETs with SiGe channel and embedded SiGe source/drain stressors
2014 Early Effect for 28nm HK/MG PMOSFETs after Post Deposition Annealing Treatment
2014 Threshold Voltage Adjustment for 28nm HfOx/ZrOx/HfOx Gate Dielectric of nMOSFETs using DPN Process with Annealing Temperatures
2014 Punch-through and junction breakdown characteristics for uniaxial strained nano-node metal-oxide-semiconductor field-effect transistors on (100) wafers
2014 Gate Leakage Characteristics for 28nm HfZrOx PMOSFETs after DPN Process Treatment with Different Nitrogen Concentration
2014 Gate Leakage for 28nm Stacked HfZrOx Dielectric of p-channel MOSFETs after Decoupled Plasma Nitridation Treatment with Annealing Temperatures
2014 Modification of Early Effect for 28nm nMOSFETs Deposited with HfZrOx Dielectric after DPN Process Accompanying Nitrogen Concentrations
2013 Mixers of Ultra-High Gain from 5.0 to 18.0 GHz
2013 Comparison of NMOSFET and PMOSFET Devices That Combine CESL Stressor and SiGe Channel
2013 奈米製程中應變工程對MOS元件效能之影響
2012 High-Performance III-V MOSFET with Nano-stacked High-k Gate Dielectric and 3D Fin-shaped Structure
2012 Time Dependent Dielectric Breakdown (TDDB) Characteristics of Metal-Oxide- Semiconductor Capacitors with HfLaO and HfZrLaO Ultra-Thin Gate Dielectrics
2012 Interface trap generation and recovery mechanisms during and after positive bias stress in metal-oxide-semiconductor structures
2012 Reliability Characteristics of Metal-Oxide-Semiconductor Capacitors with 0.72 nm Equivalent-Oxide-Thickness LaO/HfO2 Stacked Gate Dielectrics
2011 Drive Current and Hot Carrier Reliability Improvements of High-aspect-ratio N-channel Fin-shaped Field Effect Transistor with High-tensile Contact Etching Stop Layer
2011 CESL Deposition Enhancing Performance of n/pMOSFETs under 45-nm Process Manufacture
2011 Probing Drain Current with Vertical and Horizontal Electrical Fields under Temperature Stress on CLC TFTs
2011 Instability Effect on CLC nTFTs with Positive-Bias Temperature Stress
2011 Probing Active-Area Shift with Improved Kelvin Measurement for Trench DRAM
2011 Collar TEOS Integrity of Deep Trench DRAM Capacitor with a Vertical Parasitic NMOSFET
2011 Surface Channel Hot-Carrier Effect on CLC n-TFTs
2011 Surface-Channel Drain-Avalanche Hot-Carrier Effect under Temperature Variation on CLC TFTs
2011 Minimization of Cascade Low-Noise Amplifier with 0.18um CMOS Process for 2.4 GHz RFID Applications
2011 Parasitic Effect Degrading Cascode LNA Circuits with 0.18um CMOS Process for 2.4GHz RFID Applications
2011 A Monopole Scoop-Shape Antenna for 2.4GHz RFID Applications
2011 MOSFET Performance Manufactured on <100> Silicon Wafer Using CESL Strain Technology with Temperature Effect
2011 Performance of Surface Carrier Mobility for Nano-node Strained (110) MOSFETs with Temperature Effect
2011 A Non-destructive and Effective Metrology to Automatically Monitor Kink Effect of MOSFETs
2011 Extensive 6.0-18.0 GHz Frequency Low Noise Amplifiers Integrated to Form LC-Feedback Oscillators
2011 Evaluation of the Capacitances by Using High Frequency Roll-Off Fitting to the second order approximation
2011 Evaluation of the Dielectric by Measuring Leakage Currents on Self-Built Capacitor-Like Devices
2011 Effective Edge Width for 65-nm pMOSFETs and Their Variations under CHC Stress
2011 Performance of Uni-axial Strained Nano-regime nMOSFETs with CESL Process on <100> Silicon Substrate
2011 Degradation Mechanism for Continuous-Wave Green Laser-crystallized Polycrystalline Silicon n-Channel Thin-Film Transistors under Low Vertical-Field Hot-Carrier Stress with Different Laser Annealing Po
2010 Promoting of Charged-Device Model/Electrostatic Discharge Immunity in the Dicing Saw Process,”
2010 Substrate Current Verifying Lateral Electrical Field under Forward Substrate Biases for nMOSFETs
2009 Gate-to-drain capacitance verifying the CGLC n-TFT trapped charges distribution under DC voltage stress
2009 Trend Transformation of Drain-current Degradation under Drain-avalanche Hot-carrier Stress for CLC n-TFTs
2008 Layout Dependence of ESD Characteristics on High Voltage LDMOS Transistors
2008 A gold-nanoparticle-enhanced immune sensor based on fiber optic interferometry
2008 he Switch of the worst case on NBTI and hot carrier reliability for 0.13 um PMOSFETs
2008 Dual Fiber-Optic Fabry-Perot Interferometer Strain Sensor with Low-Cost Light-Emitting Diode Light Source
2008 Dual Fiber-Optic Fabry-Perot Interferometer Temperature Sensor with Low-Cost Light-Emitting Diode Light Source
2008 Investigation of DC Hot-Carrier Degradation at Elevated Temperatures for p-Channel Metal-Oxide-Semiconductor Field-Effect Transistors of 0.13 um Technology
2008 Visible Light Source Disturbing the Source/Drain Current of CLC Poly-Si n-TFT Device
2008 Enhanced Drain Current Ripple Variation with Vertical and Horizontal Electrical Fields under Optical Illumination
2008 Promotion of ESD-CDM Immunity in Dicing Saw Process
2008 Dual FFPI Strain Sensor with Low-Cost LED Light Source
2008 Extra-Inversion Charge Enhancing Substrate Current During Increased Substrate Bias in 90nm Process
2005 探討射頻積體電路矽基材單晶片的可行性
2005 深次微米製程中元件NBTI與HCE的可靠性研討
2004 A Powerful Electrical Probing Method to Detect the Kink Effect of MOSFET Devices
2004 Low Phase-Noise CMOS Voltage-Controlled Oscillator for ISM Band”, Journal of Da-Yeh University
年份 標題
2024 全校教師教學暨輔導知能精進研討會-ChatGPT對於教育領域的影響與實務應用
2023 全校教師教學暨輔導知能精進研討會-淨零轉型下綠色永續科技發展趨勢
2023 全校教師教學暨輔導知能精進研討會-私校退撫儲金-您的基本權益
2023 認識投資的本質,從生涯學習
2023 10th IEEE and 11th The International Conference on Science, Education and Viable Engineering (ICSEVEN 2022)
2023 2023_01_05國際大師演講-Dr. Philip Wong
2023 111學年度第2學期追夢辦公室-機械工程系專題講座(Malaysia Your Favourable Investment Destination)
2023 IEEE ICEIB2023
2023 111學年度第2學期追夢辦公室-機械工程系專題講座(Artificial Intelligence: Is it Safe? )
2023 111學年度第2學期追夢辦公室-機械工程系專題講座(技職體系的職涯發展 )
2023 半導體材料、設備與檢測論壇
2023 IEEE WiPDA-Asia2023
2023 12th IEEE & 13th ICSEVEN 2023
2023 112學年度第1學期明新科技大學工程學院、半導體學院專題講座(半導體產業的精彩世界)
2023 2023明新科技大學第三屆工程科技技術應用研討會
2023 112年新竹縣政府青年志願服務(教育類)運用單位在職訓練
2023 111學年度第二學期全校教師教學暨輔導知能精進研討會
2023 112-1全校教師輔導知能研習-校園性別事件樣貌與案例分享-以師生案之處理為核心
2022 IEDMS2022
2022 2022年通識教育教學創新與實踐學術研討會
2022 2022第十八屆全國電子設計創意競賽暨學術研討會
2022 9th IEEE and 10th The International Conference on Science, Education and Viable Engineering (ICSEVEN 2022)
2022 2022年工程科技技術應用研討會
2021 2021第十七屆全國電子設計創意競賽暨學術研討會
2021 IEEE ISNE2021
2021 2021明新科技大學工程科技技術應用研討會
2021 110學年度第1學期追夢辦公室-機械工程系專題講座(我的學術日常)
2020 學術倫理教育訓練
2020 2020 4th International Conference on Material Engineering and Advanced Manufacturing Technology (MEAMT 2020)
2020 臺灣學術倫理教育資源中心
2020 IEEE ICKII2020
2020 IEDMS2020
2020 109年明新科大工學院跨領域講座-自駕車大未來(溫峻瑜)
2020 如何藉由創新發明賺進人生第一桶金
2020 109 年明新科大工學院跨領域講座-人工智慧應用與發展(周至宏)
2020 109 年明新科大工學院跨領域講座-快雷射精密加工及應用(李俊豪)
2020 109 年明新科大工學院跨領域講座-2020 AI Paris 創新跨域應用(李峮慧)
2020 民族主義與客家文化的傳播
2019 IEEE ISNE 2019
2019 IEDMS2019
2019 36.2019 International Conference on Material Engineering and Advanced Manufacturing Technology (MEAMT 2019)
2019 2nd Annual International Conference on Control, Automation and Electrical Systems (ICCAES2019)
2019 42.International Congress on Advanced Materials Sciences and Engineering (ICAMSE-2019)
2019 42.International Congress on Advanced Materials Sciences and Engineering (ICAMSE-2019)
2019 IEEE IPFA2019
2019 IEEE IPFA2019
2018 2018 International Electron Devices & Materials Symposium (IEDMS 2018)
2018 IEEE ISNE 2018
2018 ICEO&SI 2018
2018 2018 International Conference on Material Engineering and Advanced Manufacturing Technology (MEAMT 2018)
2018 2nd annual conference on Cloud Technology and Communication Engineering (CTCE2018)
2018 2018 the 2nd annual International Conference on Wireless Communications, Networking and Applications [WCNA 2018]
2018 2018 2nd International Conference on Material Engineering and Advanced Manufacturing Technology (MEAMT 2018)
2014 International Electron Devices and Materials Symposia
2014 IEEE International Conference on Electron Devices and Solid-State Circuits
2014 IEEE International Symposium on Next-Generation Electronics (ISNE 2014)
2013 Circuit Design using FinFETs
2012 混合訊號與射頻電路設計領域課程發展計畫課程成果發表會
2012 雲端科技-見證永恆的愛
2012 2012 International Conference on Commercialization of Transducer & MEMS
2012 2012 International Conference on Commercialization of Transducer & MEMS
2012 10th Conference on Microelectronics Technology and Applications
2012 IEEE/ 2012 International Conference on Electronic Packaging Technology & High Density Packaging (ICEPT-HDP)
2012 2012電子工程技術研討會
2012 2012 International Electron Devices and Materials Symposium (IEDMS)
年份 標題
2023 10th IEEE & 11th ICSEVEN 2022- 口頭最佳論文獎
2023 明新科大 111學年度 績優教師
2023 10th IEEE & 11th ICSEVEN 2022- 學術貢獻獎
2023 3rd IEEE ICEIB2023 最佳論文獎
2023 12th IEEE & 13th ICSEVEN 2023- 學術成就獎
2023 12th IEEE & 13th ICSEVEN 2023- 海報組 最佳論文獎
2022 9th IEEE ICSEVEN 國際研討會 - 最佳學者獎
2022 2022第十八屆全國電子設計創意競賽暨學術研討會 最佳論文獎
2021 明新科大 109學年度 績優教師
2019 工業局 智慧電子學院 績優教師
2019 MEAMT2019 最佳論文審核委員獎
2018 106學年度 明新科大 績優教師
2018 MEAMT2018 最佳論文審核委員獎
2017 工業局/智慧電子學院 績優教師
2017 MEAMT2017 國際研討會 (2017/9) 最佳論文審核委員獎
2017 溫度調變下不同p通道鰭式電晶體之DIBL變化 - 優秀論文獎
2016 微影曝光能量參數調變對n型奈米鰭式電晶體之電特性研究- 論文組 第一名
2015 工業技術研究院 績優教師
2015 模範教師獎
2012 2012 ETS 口頭優秀論文獎
2012 光電平面顯示器概論
2012 模範教師獎
2011 模範教師獎
2011 模範教師獎